CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga adc0809

搜索资源列表

  1. ADCODE

    0下载:
  2. 用FPGA控制双ADC0809读写,用于双AD热备控制,用verilog实现-FPGA control with dual ADC0809 read and write, hot standby control for double AD, with verilog implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11185051
    • 提供者:
  1. adc

    1下载:
  2. 设计ADC控制器,Verilog代码.利用有限状态机设计方法在FPGA上设计ADC0809的接口控制器,采样结果送到数码管显示出来。-ADC controller design, Verilog code using finite state machine design in the FPGA design ADC0809 interface controller, the sampling results to the digital display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:3226
    • 提供者:钟雪美
  1. adc0809ctrl

    0下载:
  2. 用fpga芯片使用vhdl语言对AD转换芯片ADC0809进行控制-Using the fpga chip use language of VHDL AD transform chip ADC0809 control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:171675
    • 提供者:avir
  1. adcint

    0下载:
  2. 基于FPGA,实现控制ADC0809对模拟信号的采样输出-Based on FPGA, to control the ADC0809 to the analog signal sampling output
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:638
    • 提供者:林伟
  1. ADc

    0下载:
  2. 与单片机相比,用CPLD/FPGA器件更适合于直接对高速AD采样控制。本实验接口器件为ADC0809,根据ADC0809的工作时序使用CPLD产生该控制信号,CPLD启动AD转换后,得到的数据送至单片机并在PC机及数码管上显示AD转换结果。-Compared with the microcontroller, CPLD/FPGA devices more suitable for direct sampling control of high-speed AD. The interface of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:14539
    • 提供者:chen
  1. AD

    0下载:
  2. 基于FPGA的对ADC0809控制的一些实例,对初学者有一定的帮助-Based on FPGA for control of the ADC0809 a simple procedure, to help beginners understanding
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:763446
    • 提供者:
  1. 8.4

    0下载:
  2. 功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 -: Based on the VHDL language, ADC0809 simple control- Descr iption: ADC0809 no internal clock, an external clock sign
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:4116
    • 提供者:陈伟杰
  1. AD_OK_auto

    0下载:
  2. ADC0809 FPGA verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-18
    • 文件大小:356735
    • 提供者:李晶
  1. volt

    1下载:
  2. 基于FPGA的数字电压表的设计。用FPGA完成ADC0809的控制,测量电压值,并用数码管显示。-FPGA-based design of digital voltmeter. ADC0809 with FPGA complete control, measure voltage, and digital tube display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:147428
    • 提供者:allen
« 1 2 3»
搜珍网 www.dssz.com